《VHDL基礎及經典實例開發》

《VHDL基礎及經典實例開發》

本書內容豐富,側重實戰,經典新穎實例兼而有之。全書共14章。第1-2章主要介紹VHDL的基礎知識,目的是使初學者對VHDL產生系統的認識,有一定開發經驗的讀者可以跳過這部分;第3-14章主要介紹12個大型複雜數字系統的VHDL設計實例,書中列舉的大量實例都經過精心設計,包含了自頂向下的設計思想,模組化和層次化的設計方式,全部實例都經過軟體仿真驗證或硬體實際測試。

基本信息

編輯推薦

本書是為了讓讀者了解如何套用VHDL完成實際設計工作,進而全面有效地
封面封面
掌握它所編寫的。該書側重實戰,經典新穎實例兼而有之。全書共分14個章節,具體內容包括VHDL概述、VHDL硬體描述語言、數字鐘設計、串列通信接口SCI設計、計程車計價器設計、I2C匯流排控制器設計等。該書可供各大專院校作為教材使用,也可供從事相關工作的人員作為參考用書使用。

內容簡介

隨著半導體技術和計算機技術的飛速發展,集成電路的設計方法發生了深刻的變化。硬體描述語言應運而生,它的出現標誌電路設計領域的一次重大的變革。目前,硬體描述語言種類繁多,百花齊放。作為國際標準的經典硬體描述語言,VHDL無疑是其中的佼佼者。

本書的特點是講述清楚、注重實用、由淺入深,書中的實例具有很高的參考價值和實用價值,能夠使讀者掌握較多的實戰技能和經驗。它既可作為高等院校計算機、通信、電子類專業的研究生、本科生的教材和參考書,也可以作為廣大ASIC設計人員和電子電路設計人員的工具書。

目錄

第1章VHDL概述
1.1硬體描述語言
1.1.1硬體描述語言的產生
1.1.2硬體描述語言的種類
1.2VHDL硬體描述語言
1.2.1VHDL的特點
1.2.2VHDL設計流程
第2章VHDL硬體描述語言
2.1VHDL的基本元素
2.1.1標識符
2.1.2數據對象
2.1.3數據類型
2.1.4運算符和操作符
2.2VHDL程式的基本結構
2.2.1實體說明

相關詞條

相關搜尋

熱門詞條

聯絡我們