T觸發器

T觸發器

T觸發器是在數字電路中,凡在CP時鐘脈衝控制下,根據輸入信號T取值的不同,具有保持和翻轉功能的電路,即當T=0時能保持狀態不變,T=1時一定翻轉的電路。

T觸發器 T觸發器

T觸發器,什麼是T觸發器

T觸發器的特性方程

Q * = T Q ' +T ' Q

T觸發器的特性表

T Q Q*
0 0 0
0 1 1
1 0 1
1 1 0
T觸發器 T觸發器

相關詞條

相關搜尋

熱門詞條

聯絡我們