VHDL設計實例與仿真

VHDL設計實例與仿真

《 VHDL設計實例與仿真》是 2007年機械工業出版社出版的圖書,作者是姜雪松。

基本信息

內容簡介

VHDL是一種非常適用於可程式邏輯器件設計的硬體語言,並且正在得到普及,VHDL作為IEEE標準所規範的硬體描述語言,隨著各種EDA工具和積體電路廠商的普遍認同和推廣,目前正在全球各國的電子系統設計領域獲得廣泛套用。

本書從實際套用的角度出發,全面系統地介紹了VHDL在各種領域中的設計套用,以滿足不同領域讀者快速學習VHDL的需要。書中列舉了大量典型的VHDL程式,同時給出了設計的仿真波形,從而使讀者能夠驗證相應的設計,並且能夠掌握其中的設計技巧。相信通過本書,讀者能夠迅速掌握VHDL的設計技巧,從而獨立完成相應的設計項目。

本書是廣大電路設計工程師學習VHDL必不可少的參考書,同時也可作為高等院校相關專業師生的教材或教學參考用書。

目錄

叢書序

前言

第1章簡單邏輯門電路的設計

1.1基本邏輯門電路

1.1.1與門電路

1.1.2或門電路

……

相關詞條

相關搜尋

熱門詞條

聯絡我們